VHDL subtração

V

venkyatit

Guest
Oi.Eu sou novo para VHDL.O meu código parece estar funcionando bem se duas variáveis (definidas como inteiros) são adicionados.É mesmo trabalhando quando subtraindo (C <= AB), somente se a variável A é maior do que B.
No entanto, quando B é maior que A, então a saída é incorreta (normalmente zero), enquanto eu estou procurando uma saída negativa.Eu tentei usar variáveis assinado, mas Não foi possível obter a minha cabeça em torno dele.

Alguém pode me ajudar?

 
Termin "snowshoe" odnosi się do metody jaką spamerzy wykorzystują wysyłając swoje wiadomości przez duże obszary Internetu, ciągle utrzymując je na powierzchni, tak jak to robią rakiety śnieżne.

Read more...
 
Oi,

Você pode postar seu código VHDL?Isso torna mais fácil para nós te ajudar.

Devas

 
Obrigado.Tenho postado com um tema diferente.(Problema com subtração VHDL).

 

Welcome to EDABoard.com

Sponsor

Back
Top