VHDL Rom ou Registrador

fabiannoasouza

New member
Olá tudo bem?
Quero me apresentar:

Me chamo Fabiano Alves e estou precisando muito da ajuda de vocês
Estou desenvolvendo um projeto em VHDL e gostaria de saber se existe uma forma de alimentar um registrador/memória ROM e estes dados serem salvos completamente.

Por exemplo:

Ao realizar uma medida e esta medida for importante, estas informações seriam enviadas para esta memória/registrador ou algo parecido e serem armazenadas definitivamente. Assim quando for necessário, estas informações serão utilizadas futuramente.

Por favor aguardo respostas;

Att,
Fabiano Alves
 

Welcome to EDABoard.com

Sponsor

Back
Top