VHDL @ @ @ como ligar levou dependendo da condição de saída!!!!!

L

louis0206

Guest
Como faço para exibir os valores de 0 a 9 no display de 7 segmentos e sempre que a contagem é de 1, 3 ou 5, um Led acenderá. usando vhdl PRECISA DE AJUDA! Eu era capaz de exibir o 0to9 valores no display de 7 segmentos. Mas a parte mais importante é quando a contagem é de 1, 3 ou 5, o led tem que acender. Qual é a necessidade é: o código para acender levou Como faço para obter o levou a acender nesta condição (IF E ELSE?)
 
Gostaria de usar a instrução If, then, else. Se você forneceu o código que eu poderia ajudá-lo melhor.
 
Depende da linguagem que você está usando e onde você verifique a 1, 3, 5. Suponha que você está enviando 4-bit BCD para um decodificador de 7 segmentos. Executar os 4 bits de 4 portas de entrada em um microprocessador. Então leia os quatro bits em uma mordidela (um 4-bit tipo de dados em alguns idiomas), digamos que você guarde-o em um número variável chamado ... Em C, o código poderia ser algo como: Se (número == 1) | | (número == 3) | | (número == 5) / / | | é "OU" em C LED_Output_Port = 1; mais LED_Output_Port = 0;
 
Gostaria de usar a instrução If, then, else. Se você forneceu o código que eu poderia ajudá-lo melhor
Esses são os códigos para exibir o valor 0-9 nos contadores BCD: Agradecemos antecipadamente biblioteca ieee; ieee.std_logic_1164.all uso; ieee.numeric_std.all uso. ; bcd_counter entidade é a porta (clk, reset_bar: em std_logic; q: out std_logic_vector (3 downto 0)); bcd_counter final; fluxo arquitetura de bcd_counter é sinal count_sig: unsigned (3 downto 0); iniciar o processo (clk, reset_bar) começar if (reset_bar = '1 '), então count_sig
 
Se você usar uma instrução caso como este deve chegar perto, você pode precisar de mexer com um pouco. count_sig caso é quando "0001" => sel sel sel sel
 
Se você usar uma instrução caso como este deve chegar perto, você pode precisar de mexer com um pouco. count_sig caso é quando "0001" => sel sel sel sel
 

Welcome to EDABoard.com

Sponsor

Back
Top