vhdl código de 32 bits contra

S

sailakshmi

Guest
vhdl código de 32 bit contador então por favor me ajude ....................................... vhdl código
 
Code:
 biblioteca IEEE; usar IEEE.std_logic_1164.all; cntr entidade é genérico (à esquerda: natural: = 31; prop: tempo: = 100 ps); porta (clk: em std_logic; carga: em std_logic; in_load: em std_logic_vector (da esquerda downto 0); saída: out std_logic_vector (à esquerda downto 0)); cntr_g entidade final; comportamento arquitetura de cntr é começar cntr: process (clk, carga) variável de contador: std_logic_vector (à esquerda downto 0); variável transportar: std_logic; tcarry variável: std_logic; começar a se load = '1 'then contador: = in_load; saída
 

Welcome to EDABoard.com

Sponsor

Back
Top