T
tooh83
Guest
oi tudo
im usando EP1C3 FPGA, -8 grau de velocidade, eu quero atribuir seu relógio interno
como o CLK de entrada para um 4-bit contador cujo código VHDL é como mostrado
entidade contador é
port (
permitir, clk: in std_logic;
count: out std_logic_vector (3 downto 0)
);
contador fim;
arquitetura comportar-se de contador é
sinal inc: std_logic_vector (3 downto 0);
começo
process (clk, enable)
começo
Se enable = '1 'then
if (clk'event e clk = '1 ') then
inc <= inc 1;
count <= inc;
END IF;
END IF;
end process;
end comportamento;
Como posso fazer isso?thnx in advance
im usando EP1C3 FPGA, -8 grau de velocidade, eu quero atribuir seu relógio interno
como o CLK de entrada para um 4-bit contador cujo código VHDL é como mostrado
entidade contador é
port (
permitir, clk: in std_logic;
count: out std_logic_vector (3 downto 0)
);
contador fim;
arquitetura comportar-se de contador é
sinal inc: std_logic_vector (3 downto 0);
começo
process (clk, enable)
começo
Se enable = '1 'then
if (clk'event e clk = '1 ') then
inc <= inc 1;
count <= inc;
END IF;
END IF;
end process;
end comportamento;
Como posso fazer isso?thnx in advance