O
Opel_Corsa
Guest
Estou tentando escrever um código para um 8-em-1 MUX.Aqui está o que eu tenho até agora:
Código:
LIBRARY ieee;
ieee.std_logic_1164.all utilização;
use IEEE.STD_LOGIC_ARITH.ALL;entidade é mux8to1
port (X: in std_logic_vector (7 downto 0);
S: in std_logic_vector (0 a 2);
F: out std_logic);
mux8to1 final;RTL arquitetura de mux8to1 é
começo
processo (X, S)
começo
if (S = 0) then
F <= X [0];
elsif (S = 1) then
F <= X [1];
elsif (S = 2) então
F <= X [2];
elsif (S = 3) então
F <= X [3];
elsif (S = 4) then
F <= X [4];
elsif (S = 5) então
F <= X [5];
elsif (S = 6) então
F <= X [6];
elsif (S = 7) então
F <= X [7];
END IF;
end process;
end RTL;
Código:
LIBRARY ieee;
ieee.std_logic_1164.all utilização;
use IEEE.STD_LOGIC_ARITH.ALL;entidade é mux8to1
port (X: in std_logic_vector (7 downto 0);
S: in std_logic_vector (0 a 2);
F: out std_logic);
mux8to1 final;RTL arquitetura de mux8to1 é
começo
processo (X, S)
começo
if (S = 0) then
F <= X [0];
elsif (S = 1) then
F <= X [1];
elsif (S = 2) então
F <= X [2];
elsif (S = 3) então
F <= X [3];
elsif (S = 4) then
F <= X [4];
elsif (S = 5) então
F <= X [5];
elsif (S = 6) então
F <= X [6];
elsif (S = 7) então
F <= X [7];
END IF;
end process;
end RTL;